IEC-62530 Historical Revision Information
System Verilog - Unified Hardware Design, Specification, & Verification Language

IEC-62530 - EDITION 1.0 - SUPERSEDED
Show Complete Document History

Document Center Inc. is an authorized dealer of IEC standards.
The following bibliographic material is provided to assist you with your purchasing decision:


IEC 62530:2011(E) Provides a unified Hardware Design, Specification, and Verification language. IEEE Std 1364TM-2005 Verilog is a design language. Both standards were approved by the IEEE-SASB in November 2005. This standard creates new revisions of the IEEE 1364 Verilog and IEEE 1800 SystemVerilog standards, which include errata fixes and resolutions, enhancements, enhanced assertion language, merger of Verilog Language Reference Manual (LRM) and SystemVerilog 1800 LRM into a single LRM, integration with Verilog-AMS, and ensures interoperability with other languages such as SystemC and VHDL. This publication has the status of a double logo IEEE/IEC standard.
ORDER

To find similar documents by classification:

25.040 (Industrial automation systems IT applications in industry, see 35.240.50)

25.040.01 (Industrial automation systems in general)

This document comes with our free Notification Service, good for the life of the document.

This document is available in either Paper or PDF format.

Document Number

IEC 62530 Ed. 1.0 en:2007

Revision Level

EDITION 1.0

Status

Superseded

Publication Date

Nov. 1, 2007

Committee Number

91